Welcome![Sign In][Sign Up]
Location:
Search - vga display on fpga

Search list

[VHDL-FPGA-VerilogExp6-VGA

Description: 通过UART从PC主机读取图片数据,并完成图片在VGA显示器上的显示-through UART from the host PC to read image data, and complete picture of the VGA display on the show
Platform: | Size: 681984 | Author: 萧飒 | Hits:

[Embeded-SCM DevelopEP1C6_12_3_VGAimg

Description: 基于fpga和sopc的用VHDL语言编写的EDA的VGA彩条信号显示控制器-FPGA and SOPC based on the use of VHDL language EDA color signal of the VGA display controller
Platform: | Size: 21504 | Author: 多幅撒 | Hits:

[Embeded-SCM DevelopEP1C6_12_4_VgaPb

Description: 基于fpga和sopc的用VHDL语言编写的EDA的VGA图像显示控制器-FPGA and SOPC based on the use of VHDL language EDA s VGA graphics display controller
Platform: | Size: 147456 | Author: 多幅撒 | Hits:

[Embeded-SCM DevelopEP1C6_12_12_VGAgame

Description: 基于fpga和sopc的用VHDL语言编写的EDA的PS/2和VGA控制显示控制器-FPGA and SOPC based on the use of VHDL language EDA s PS/2 and VGA display controller to control
Platform: | Size: 27648 | Author: 多幅撒 | Hits:

[VHDL-FPGA-VerilogFPGA_VGA

Description: 基于FPGA的高分辨率VGA显示控制器的设计-FPGA-based high-resolution VGA display controller design
Platform: | Size: 291840 | Author: 火冰 | Hits:

[VHDL-FPGA-VerilogVGA

Description: VGA彩色信号控制器设计:用VHDL语言编写程序,重点完成三个功能: 1.棋盘格图案显示: 用三基色原理在CRT显示器上显示由横竖八彩条重叠构成的棋盘格图案; 2.在显示器上依次显示0~9十个数字: 每个数字不同颜色,每个显示大约0.4秒,循环显示; 3.显示动画效果: 将静态图像以高频率显示,造成动画效果,最终动态显示OVER结束。-VGA color signal controller design: using VHDL programming language, focusing on the completion of three functions: 1. Chessboard grid pattern shows that: The principle of three-color display on the CRT display by eight color横竖overlapping grid consisting of checkerboard patterns 2. followed by the display on display 0 ~ 9 10 figure: Each figure in different colors, each show around 0.4 seconds, circular display 3. show animation effects: static image to display a high frequency, resulting in animation effects, dynamic display finally OVER The End .
Platform: | Size: 186368 | Author: 刘峰 | Hits:

[VHDL-FPGA-Verilog61EDA

Description: 分析了各种视频采集方案的研究现状。对如何采用CCD 摄像头采集高分辨率、高质量的图像以及基于FPGA 的嵌 入式视频图像采集系统的实现方法进行了研究。采用了以摄像头+ 解码芯片模式为采集方案, 针对视频解码芯片 ADV7181B,实现了I2C 总线配置、ITU656 解码、VGA 显示模块的设计。设计的视频采集控制器已经在Altera 公司的CycloneII 系列FPGA(EP2C35)上实现。结果显示本设计具有速度高、成本低、易于集成等优点-Analysis of a variety of video capture programs reviewed. On how to use CCD camera capture high-resolution, high-quality images, as well as FPGA-based embedded video image acquisition system realization method was studied. Used to camera mode+ decoder chip collection program for video decoder chip ADV7181B, realized the I2C bus configuration, ITU656 decoder, VGA display module design. The video capture controller has been designed in the Altera' s CycloneII series FPGA (EP2C35) to achieve. The results showed that this design has a high speed, low cost, easy to integrate the advantages of
Platform: | Size: 179200 | Author: 李明 | Hits:

[VHDL-FPGA-Verilogcameralink

Description: 由于目前基于CameraLink接口的各种相机都不能直接显示,因此本文基于Xilinx公司的Spartan 3系列FPGAXC3S1000-6FG456I设计了一套实时显示系统,该系统可以在不通过系统机的情况下,完成对相机CameraLink信号的接收、缓存、读取并显示 系统采用两片SDRAM作为帧缓存,将输入的CameraLink信号转换成帧频为75Hz,分辨率为1 024×768的XGA格式信号,并采用ADV7123JST芯片实现数模转换,将芯片输出的信号送到VGA接口,通过VGA显示器显示出来-As the CameraLink interface is currently based on a variety of cameras can not directly display, this article based on Xilinx' s Spartan 3 series FPGAXC3S1000-6FG456I designed a set of real-time display system, the system can be achieved without machine case through the system to complete the CameraLink cameras signal reception, cache, read and display systems use two SDRAM frame buffer as the input signals into the CameraLink frame rate of 75Hz, a resolution of 1 024 × 768 for XGA format signal, and using ADV7123JST chip digital-analog conversion, the chip output signal to the VGA port, through the VGA display monitor
Platform: | Size: 13312 | Author: lilei | Hits:

[Othervga

Description: 在显示器上画虚线方框和虚线圆,并且方框和圆都能扩大、缩小。-Dashed line painted on the display boxes and dotted circle, and the boxes and circle can expand and narrow
Platform: | Size: 1587200 | Author: 杨译名 | Hits:

[VHDL-FPGA-Verilog8VGA

Description: 基于FPGA EP1C6Q的八色VGA显示程序。已经通过调试可用。-Based on FPGA EP1C6Q the eight-color VGA display program. Have been available through the debugger.
Platform: | Size: 3390464 | Author: ql | Hits:

[VHDL-FPGA-VerilogCPLD

Description: 用vga显示俄罗斯方块基于fpga但是不是真正的游戏俄罗斯方块-Tetris with vga display based on the fpga, but not a true game Tetris
Platform: | Size: 2304000 | Author: 万小中 | Hits:

[VHDL-FPGA-VerilogVGA

Description: 基于FPGA,QUARTUSS||开发环境下的简单图像显示控制-Based on FPGA, QUARTUSS | | development environment simple image display control
Platform: | Size: 616448 | Author: sujiebin | Hits:

[VHDL-FPGA-Verilogproject

Description: 在Spartan-3E FPGA开发板上做的一个小项目--带语音功能的计算器,并且通过VGA接口在显示器上显示图形界面。涉及到ps2键盘模块,VGA显示模块,picoblaze汇编,串口收发模块。-In the Spartan-3E FPGA development board to do a small project- a calculator with voice capabilities, and VGA interface, through the graphical interface displayed on the monitor. Modules related to ps2 keyboard, VGA display module, picoblaze assembly, serial transceivers.
Platform: | Size: 2434048 | Author: 赵龙 | Hits:

[VHDL-FPGA-Verilogfpga

Description: 基于FPGA和ADV7123的VGA显示接口的设计和应用-FPGA and the ADV7123 based on the VGA display interface design and application
Platform: | Size: 401408 | Author: zhuhuafeng | Hits:

[VHDL-FPGA-VerilogDE2-VGA-LED

Description: verilog HDL 语言编写的,FPGA的数码管和VGA的显示。调用时不必修改源码,只需引脚映射对就可以-verilog HDL language, FPGA digital and VGA display. Call without having to modify source code, you can just pin on the map
Platform: | Size: 5793792 | Author: | Hits:

[VHDL-FPGA-VerilogBased-on-the-FPGA-VGA-display

Description: VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。利用FPGA芯片和EDA设计方法,可以因地制宜,根据用户的特定需要,设计出针对性强的VGA显示控制器。-VGA ( Video Graphics Array ) as a standard display interface is widely used. Using FPGA chips and EDA design method, can suit one s measures to local conditions, according to the specific needs of the user, design targeted VGA display controller
Platform: | Size: 216064 | Author: wyy | Hits:

[VHDL-FPGA-Verilogaltera_up_avalon_vga

Description: fpga的vga显示 学生做课程设计或毕业设计的时候可以用到(vga display on fpga Students can use the course design or graduate design)
Platform: | Size: 532480 | Author: Xavi | Hits:

[Embeded-SCM DevelopVERILOG语言-VGA显示

Description: 基于FPGA与ADV7123的VGA显示(VGA display based on ADV7123)
Platform: | Size: 3072 | Author: 水中的鱼 | Hits:

[VHDL-FPGA-VerilogVGA

Description: 在quartus平台上用vhdl语言编写VGA显示程序(On the quartus platform, the VGA display program is written in VHDL language)
Platform: | Size: 450560 | Author: cmcmr | Hits:

[VHDL-FPGA-Verilogvga

Description: 此上传的是在FPGA的spartan 3e系列开发板上面实现黑白块VGA显示功能的基于Verilog的源代码。(This upload is based on FPGA's Spartan 3E series development board to achieve black and white VGA display function based on Verilog source code.)
Platform: | Size: 574464 | Author: 木子桶 | Hits:
« 12 3 4 »

CodeBus www.codebus.net